Download PDF (external access)

IEEE International Symposium on Circuits and Systems (ISCAS), Date: 2018/05/27 - 2018/05/30, Location: ITALY, Florence

Publication date: 2018-01-01
Volume: 2018-May
ISSN: 9781538648810
Publisher: IEEE

2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)

Author:

Yang, Lita
Bankman, Daniel ; Moons, Bert ; Verhelst, Marian ; Murmann, Boris

Keywords:

Science & Technology, Technology, Engineering, Electrical & Electronic, Engineering, Convolutional neural networks, error resiliency, approximate SRAM, BinaryNet, energy-accuracy trade-off

Abstract:

© 2018 IEEE. Deployment of convolutional neural networks (ConvNets) in always-on Internet of Everything (IoE) edge devices is severely constrained by the high memory energy consumption of hardware ConvNet implementations. Leveraging the error resilience of ConvNets by accepting bit errors at reduced voltages presents a viable option for energy savings, but few implementations utilize this due to the limited quantitative understanding of how bit errors affect performance. This paper demonstrates the efficacy of SRAM voltage scaling in a 9-layer CIFAR-10 binarized ConvNet processor, achieving memory energy savings of 3.12× with minimal accuracy degradation (∼99% of nominal). Additionally, we quantify the effect of bit error accumulation in a multi-layer network and show that further energy savings are possible by splitting weight and activation voltages. Finally, we compare the measured error rates for the CIFAR-10 binarized ConvNet against MNIST networks to demonstrate the difference in bit error requirements across varying complexity in network topologies and classification tasks.